• Stack Overflow Public questions & answers
  • Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers
  • Talent Build your employer brand
  • Advertising Reach developers & technologists worldwide
  • Labs The future of collective knowledge sharing
  • About the company

Collectives™ on Stack Overflow

Find centralized, trusted content and collaborate around the technologies you use most.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Get early access and see previews of new features.

How to assign pins in Quartus II

We are looking at moving some code into a CPLD or FPGA in order to make it faster. I have worked with Xilinks and their suite of tools before, but for some reason it was decided that we'd use Altera this time around so I am trying to get used to Quartus II.

In particular, I am looking for a way to explicityly assign pins to the chip I am using. In Xilinx, I'd edit the netlist file but I can find no such thing in Quartus. There is a program (Assignments => Pin Planner) that does this, but it has a clunky GUI and I'd far prefer to edit the pins in a text editor, so my twofold question is

A: How do I find the file that stores the pin assignment? It's not listed under my files in the project navigator but the pins I've assigned in Pin Planner stay from session to session so they must be stored somewhere .

B: Is this a horrible idea?

IDE is Quartus II 10.1 Development kit is MAX II Development Board Language is VHDL

EDIT: Right now, I've run into the problem that I'm trying to interface with the Dev Kit through USB. I'm making a serial data receiver on it and have given it a Data In pin. The Dev Kit has a USB receiver so I'm trying to map din to whichever pin the USB connector is on. According to a file I have (rm_maxII-develop_board-rev1.pdf) the USB connector is on "Board Designation U13" but when I go into the Pin PLanner and try to assign that, there is no PIN_U13. I suspect this is an error in the pdf, rather than in Pin Planner but seing as I've never worked with Altera products before, I'm very confused.

Qiu's user avatar

  • Ah, you posted here too. :-) I added answer at overmapped.com/questions/how-to-assign-pins-in-quartus-ii –  Prof. Falken Commented Apr 11, 2011 at 14:29
  • I did - slightly before I read about Overmapped and figured that'd be a better place for it. What's your user name on Overmapped? I see no replies from Amigable Clark Kant over there. –  shieldfoss Commented Apr 12, 2011 at 9:14
  • Jakob. It's here too if you look around hard enough. :-) –  Prof. Falken Commented Apr 12, 2011 at 9:26

A) You need to edit the *.qsf file, and add lines similar to the following:

B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc. To be completely safe, when making large changes (particularly to optimization or compiler settings) I will make sure Quartus is not running. I've been working this way with Quartus since it came out, and have not had any problems.

Once your design is compiled, you can refer to the *.pin file to see the final pinout for the FPGA. In particular, refer to the column indicating whether or not the pin is manually assigned, as any pins not specifically assigned to a location will change pretty much every time you recompile the chip (which is sub-optimal if you've already made a PCB! :).

Charles Steinkuehler's user avatar

  • @medivh, that I don't know, please tell us where you found it. –  Prof. Falken Commented Apr 13, 2011 at 10:04

Your Answer

Reminder: Answers generated by artificial intelligence tools are not allowed on Stack Overflow. Learn more

Sign up or log in

Post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl intel-fpga quartus or ask your own question .

  • Featured on Meta
  • Upcoming sign-up experiments related to tags
  • The return of Staging Ground to Stack Overflow
  • Policy: Generative AI (e.g., ChatGPT) is banned

Hot Network Questions

  • Medical - Must use corrective lens(es)…
  • Modify the width of each digit (0, 1, ..., 9) of a TTF font
  • Why does detector inefficiency (or dark counts) count as a "loop-hole" in Bell-inequality tests?
  • What's the necessary and sufficient condition for a real sequence to be written as the self-convolution of another real sequence?
  • What does it mean for observations to be uncorrelated and have constant variance?
  • Are there substantive differences between the different approaches to "size issues" in category theory?
  • Personal Loan to a Friend
  • Short story about a group of astronauts/scientist that find a sentient planet that seems friendly but is not
  • Does dynamic stability decrease with airspeed
  • Forcing QGIS to export using left hand rule
  • How to create a flow that checks the overlaping dates on records?
  • If a reference is no longer publicly available, should you include the proofs of the results you cite from it?
  • What is a "general" relation algebra?
  • A polynomial with at least a simple root
  • Numerical approximation of the integral by using data
  • What are some plausible means for increasing the atmospheric pressure on a post-apocalyptic earth?
  • Why am I unable to distribute rotated text evenly in Adobe Illustrator 2024?
  • Clear jel to thicken the filling of a key lime pie?
  • Need help identifying a (possibly) 1984 Winter Olympics bicycle
  • Idiom for a situation where a problem has two simultaneous but unrelated causes?
  • Does USCIS require spouses being sponsored for Permanent Residency to leave the United States?
  • What happened to Slic3r?
  • Can a compact group have an infinite sequence of closed subgroups?
  • What kind of publications can i submit on my own without the need of supervisors approval?

pin assignment in quartus

Quartus pin assignment of signals like HPS_DDR3_DQ

I am following one of the manuals/tutorials at RocketBoard and I really like it. However I had a question which I couldn’t find an answer to. It has to do with the following.

For using the QSys system in the tutorial all kind signals should be assigned to pins. Also the externally exported signals of the custom IP should also be assigned to pins. However the manual does not say anything about this but it magically still works. Quartus does assign all kind of pins to signals/Node names but I have no clue on base of what Quartus makes those decisions. I have been searching the whole working directory folder tree but I cannot find any file which defines these pin assignments (except for the one I changed by hand). I think that those signal labels, like HPS_DDR3_DQ[x] are standard labels which are automatically assigned to the corresponding PIN on the current FPGA, but I haven’t manage to find any information about this. Is this assumption right?

In case it is, does this also main that migrating to another FPGA should not result in any problems if they both support the same functions/hardware? (I own a CycloneV SoCKit instead of DE10 which has, for example, the LEDs connected to other pins of the FPGA than the DE10).

Thanks in advance!

Cheers, Wobbert

Hello wobbert, You are particullary right. You need not assign pins like those for DDR3 memory because each model of FPGA has dedicated pins for this interface. Quartus knows, where these dedicated pins are situated. You can also find their possitions in manuals (in manual for Yours kit as well as in manual for the model of FPGA of Yours kit). More over, these pins are so well protected that You cannot assign they manually in PIN Planner (and I think nor in the Assignment Editor). It is probably right if You are not fable to find the possitions of pins for DDR3 in any file in the directory od Quartus project, I think that they are hidden somewhere in the database folder. So do not think about it too much, it is done by Intel (Altera) for us. I hope that this answer will help You a bit.

:slight_smile:

Stack Exchange Network

Stack Exchange network consists of 183 Q&A communities including Stack Overflow , the largest, most trusted online community for developers to learn, share their knowledge, and build their careers.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

How does Quartus pin planner know about voltage in each I/O bank?

It is possible to specify a range of I/O standard options in Quartus pin planner. I am trying to understand a few things:

  • How does Quartus know what voltage is supplied to each bank?
  • Why does Quartus give a long list of I/O standards for each pin and not limit them based on the supply voltage for that specific I/O bank?
  • What is the difference between "3.3V LVTTL" and "3.3V LVTTL (default)" in the pin planner?

gyuunyuu's user avatar

  • \$\begingroup\$ I believe your question #1 answers #2. \$\endgroup\$ –  Austin Commented Apr 13, 2021 at 4:42
  • 1 \$\begingroup\$ 3 or '(default)' just means that it is not specified explicitly and it is implied. If you go to the configuration file and set the voltage, it will not show as 'default'. The configuration is typically '.qsf'; this type of information seems to change release-to-release and any information on the internet is often outdated. It is difficult to change the voltages in the pin planner as it will complain that the banks are locking them. Ie, you can not change them all at once in the GUI. The QSF is a TCL file, like SDC, but Quartus modifies it during compiles so not revision control friendly. \$\endgroup\$ –  artless noise Commented Nov 11, 2023 at 15:53

It doesn't know. The Pin Planner is a variant of the more generic Assignment Editor, all it generates are additional constraints on the Fitter.

During compilation, the Fitter will tell you whether it can find a solution that fulfills all your constraints.

If you have Location assignments, then that is a pretty strict constraint, and if the Fitter cannot find a valid configuration, compilation fails.

If you have I/O Standard assignments, the Fitter will arrange pins so that the standard can be implemented, and then it will tell you what supply voltage is required for each bank.

The "(default)" setting removes the I/O Standard assignment for the pin from the list of assignments in the project file, the Fitter will then apply the project-wide default to the pin. If you change the default, it will be applied to any pin that is currently set to the "(default)" setting.

You'd normally create I/O standard assignments first, compile, and then refine from the generated configuration. That's why there is a read-only column "fitter location": this is what the fitter suggested, so if you start assigning pins but paint yourself into a corner, you can still see what it came up with.

Simon Richter's user avatar

Your Answer

Sign up or log in, post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged intel-fpga quartus or ask your own question .

  • Featured on Meta
  • Upcoming sign-up experiments related to tags

Hot Network Questions

  • What kind of publications can i submit on my own without the need of supervisors approval?
  • Does "my grades suffered" mean "my grades became worse" or "my grades were bad"?
  • Voronoi mesh of a circular image
  • Can I replace a GFCI outlet in a bathroom with an outlet having USB ports?
  • Proving for n+1 and n-1 at the same time using induction.
  • A TCP server which uses one thread to read while writing data with another thread
  • How to create a flow that checks the overlaping dates on records?
  • What is a "general" relation algebra?
  • What does "the dogs of prescriptivism" mean?
  • How to turn a desert into a fertile farmland with engineering?
  • A polynomial with at least a simple root
  • Is there some sort of kitchen utensil/device like a cylinder with a strainer?
  • Why does detector inefficiency (or dark counts) count as a "loop-hole" in Bell-inequality tests?
  • Are there really half-a billion visible supernovae exploding all the time?
  • TikZ - diagram of a 1D spin chain
  • Are 1/20 undocumented immigrants married to American citizens?
  • What's the necessary and sufficient condition for a real sequence to be written as the self-convolution of another real sequence?
  • Why am I unable to distribute rotated text evenly in Adobe Illustrator 2024?
  • What's the role of the transistor on power input in this schematic?
  • Binary black hole merging condition
  • Comprehensive Guide to saying Mourners' Kaddish
  • Determinacy and Woodin cardinals
  • proper way to write C code that injects message into /var/log/messages
  • Proof/Reference to a claim about AC and definable real numbers

pin assignment in quartus

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile .

  • Intel Community
  • Product Support Forums
  • Intel® Quartus® Prime Software
  • Re: Stratix 10 HPS DDR4 EMIF placement error

Stratix 10 HPS DDR4 EMIF placement error

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Printer Friendly Page

GMcCa2

  • Mark as New
  • Report Inappropriate Content

Design Entry|Synthesis|Compilation

  • All forum topics
  • Previous topic

Link Copied

khtan

Community support is provided during standard business hours (Monday to Friday 7AM - 5PM PST). Other contact methods are available here .

Intel does not verify all solutions, including but not limited to any file transfers that may appear in this community. Accordingly, Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade.

For more complete information about compiler optimizations, see our Optimization Notice .

  • ©Intel Corporation
  • Terms of Use
  • *Trademarks
  • Supply Chain Transparency

IMAGES

  1. Pin Assignment with Quartus-Pin Planner

    pin assignment in quartus

  2. Pin Assignment Solution for Quartus II

    pin assignment in quartus

  3. Electrical

    pin assignment in quartus

  4. Pin Assignment With Quartus Pin Planner Download Scientific Diagram

    pin assignment in quartus

  5. Electrical

    pin assignment in quartus

  6. Pin Assignment with Quartus-Pin Planner

    pin assignment in quartus

VIDEO

  1. Workday Payroll: Assign Default the Pay Groups on Using Automatic Rule

  2. Learning FPGA Together! Going through the FPGA Academy Course live!

  3. Learning FPGA Together Part 5: Numbers and Displays 2/3

  4. BayCom Packet Radio Modem DB-9 Pinout???

  5. Quartus Основы №5

  6. STM32CubeMX: Pin, signal and label

COMMENTS

  1. 2.3.2. Assigning Pin I/O Standards in the Intel® Quartus® Prime Pin

    From the Intel® Quartus® Prime menu, select Assignments > Pin Planner. Under the Node Name column in the All Pins box, look for the pin that you want to configure. Under the Location column, select the specific pin location. The I/O Bank column displays the I/O bank name where the pin resides. The Top View - Flip Chip diagram shows the I/O ...

  2. Making FPGA Pin Assignments

    1. Use the Intel® Quartus® Prime Pin Planner to make pin assignments. 2. Use Intel® Quartus® Prime Fitter messages and reports for sign-off of pin assignments. 3. Verify that the Intel® Quartus® Prime pin assignments match those in the schematic and board layout tools. With the Intel® Quartus® Prime Pin Planner GUI, you can identify I/O ...

  3. 2.3. Importing and Exporting I/O Pin Assignments

    Importing and Exporting I/O Pin Assignments. 2.3. Importing and Exporting I/O Pin Assignments. The Intel® Quartus® Prime software supports transfer of I/O pin assignments across projects, or for analysis in third-party PCB tools. You can import or export I/O pin assignments in the following ways: Table 5.

  4. How to assign different pins in Pin Planner in Quartus?

    \$\begingroup\$ As a start, look in the project's .qsf (Quartus Settings File). That will list the pin physical constraints including pin locations. You can edit and save that directly, following the format of the existing pin location constraints, which should be there from what you show. Then try synthesizing that and let us know. \$\endgroup\$

  5. vhdl

    8. A) You need to edit the *.qsf file, and add lines similar to the following: set_location_assignment PIN_AP30 -to qdr_q[35] B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc.

  6. [Quartus II] Assign pins and program to a device

    How to assign your ports to the correct pins and program to your board. http://seanstappas.me/ https://github.com/seanstappas

  7. Pin Planner Command (Assignments Menu)

    Pin Planner Command (Assignments Menu) You open the Pin Planner by clicking Assignments > Pin Planner. Launches the Pin Planner, which allows you to easily make assignments to device I/O pins within a graphical representation of the target device. You can quickly locate specific I/O pins and assign design elements or other properties to ensure ...

  8. Pin Assignment Solution for Quartus II

    Here's the solution to the common problem with multiple assigning.

  9. How do I fix pin assignments on a Quartus Prime Lite project?

    I selected Assignments > Import Assignments and imported DE1_SoC.qsf. When I open the assignment editor, the pins to which I had assigned nodes show up with question marks in their status column: In the bottom of the assignment editor, I see my earlier pin assignments: I start a compile. I get these messages:

  10. Solved: Pin Assignment Import using .tcl file

    Pin Assignment Import using .tcl file. 11-14-2022 06:16 AM. Hello, I was looking into how to import pin assignments in a .tcl file into Quartus Standard Edition and section 2.3 of the Design Constraints User Guide shows the following table. The table lists .tcl as an exportable format but not an importable one.

  11. soc

    In the assignment editor, I renamed SW[0] and SW[1] and the output pins LEDR[0], respectively, to my signal names. I ended up with: The pin assignments are shown in my circuit diagram: However, the assignments don't seem to be working correctly. I get these messages when I compile: Warning (15714): Some pins have incomplete I/O assignments.

  12. 3.2.1. Generating Pin Assignment Files

    The Intel® Quartus® Prime-generated .pin contains the I/O pin name, number, location, direction, and I/O standard for all used and unused pins in the design. Click Assignments > Pin Planner to modify I/O pin assignments. You cannot import pin assignment changes from a Mentor Graphics* .pin into the Intel® Quartus® Prime software.. The .fx is an input or output of either the Intel® Quartus ...

  13. assigning the clock in pin planner

    In the Pin Planner, these special pins are shown with a clock rising edge symbol. If your design will use a lot of the global routing resources and if a particular clock's fan-outs can be restricted to a quarter of the device, then choose a pin that can drive a regional clock where the associated logic will be placed.

  14. pin assignment in Quartus II

    In a similar vein, I am trying to understand what ends up in the Assignment Editor after a pin assignment. In my case, I'm using Quartus II 13.1 Web Edition with the DE0-Nano. In the Pin Planner, I assigned the 50MHz clock, resulting in the following: Node Name: CLK_50 . Direction: Input . Location: PIN_R8 . In the Assignment Editor, this shows ...

  15. Specify exact pin locations on FPGA

    There are two ways of specifying PIN assignment — you can either use PinPlanner or set_location_assignment to specify the PIN along with set_instance_assignment to specify the IO standard.. I recommend you read I/O Management documentation from Altera. But here are few examples: These are location assignments for 1 GbE RGMII Ethernet Interface:

  16. 1.6.1.2. Use the Quartus® Prime Pin Planner for I/O pin ...

    The Quartus® Prime Start I/O Assignment Analysis command checks that pin locations and assignments are supported in the target FPGA architecture. Checks include reference voltage pin usage, pin location assignments, and mixing of I/O standards. You can use I/O Assignment Analysis to validate I/O-related assignments that you make or modify ...

  17. Pin assignment in Quartus II

    Normally if your using a dev kit, do not let quartus do pin assigment. However if your on a new design, then just compile it and quartus fitter will assign pins. Then use back annote assignments command. 03-03-2010 06:13 AM. Yeah, what you need to do is let Quartus II handle the random pin assignments.

  18. fpga

    I would like to now program my Cyclone 10 Dev board to perform this simple task, but I need to set up the pin assignments on my qsf file. That being said, I don't know where to find the correct pins and how I would assign them to the inputs and outputs of my module. The model I am using is a 10CL025YU256I7G. fpga. pins. intel-fpga. quartus. Share.

  19. Quartus pin assignment of signals like HPS_DDR3_DQ

    Quartus knows, where these dedicated pins are situated. You can also find their possitions in manuals (in manual for Yours kit as well as in manual for the model of FPGA of Yours kit). More over, these pins are so well protected that You cannot assign they manually in PIN Planner (and I think nor in the Assignment Editor).

  20. Answers to Top FAQs

    Quartus® Prime Pro Edition User Guide: Design Constraints Document Archives A. Quartus® Prime Pro Edition User Guides. 1. Constraining Designs x. ... Entering Pin Assignments with Tcl Commands 3.2.8. Entering Pin Assignments in HDL Code. 3.2.6. Assigning Differential Pins x. 3.2.6.1. Overriding I/O Placement Rules on Differential Pins.

  21. How does Quartus pin planner know about voltage in each I/O bank?

    The "(default)" setting removes the I/O Standard assignment for the pin from the list of assignments in the project file, the Fitter will then apply the project-wide default to the pin. If you change the default, it will be applied to any pin that is currently set to the "(default)" setting.

  22. Re: pin assignment in Quartus II

    01-16-2014 10:47 AM. 2,820 Views. If you're new to this I recommend you use the Pin Planner tool built into Quartus. If you haven't already, run the 'Analysis & Synthesis' on your project. Select 'Assignments' -> 'Pin Planner'. On the left will be a list of all your top level signal names. Double click the 'Location' cell next to each signal.

  23. Solved: Incomplete pin location assignments

    Certainly there must be pin assignments in order for the complete flow to run, to generate timing information, etc. In this case it does make sense that Quartus can assign floating pins. The Quartus assigned pins are guaranteed not to match any board, and generally one would not want to use the pinout for a hardware design, as it will be far ...

  24. Stratix 10 HPS DDR4 EMIF placement error

    2. Check the pins that are mentioned in the KDB (PLL and RZQ) and looks like it is already in bank 2M. Suspect other pin placement restriction. 3. Terasic website on newer designs able to compile on 24.1 but without EMIF HPS or the GHRD project (tested few designs) 3. Remove all pin assignments for DDR4A and let fitter to decide which pins to ...